Pages: 1 ... 4 5 [6]
Author Topic: LC/NLS ASM help  (Read 29129 times)
BlackT
Hero Member
*****

Karma: +79/-39
Offline Offline

Posts: 1422



« Reply #75 on: March 02, 2023, 12:53:02 AM »

Thank you that is really helpfull
Logged
BlackT
Hero Member
*****

Karma: +79/-39
Offline Offline

Posts: 1422



« Reply #76 on: September 14, 2023, 01:52:15 PM »

EDIT: solved, I see I move R4 to byte. But let it stay for other users if someone make this silly mistake



I am trying to make rolling LC, and i have problem with this code section
Code:
ROM:008C0030 9A 29 21 F0                       jnb     word_FD52.15, loc_8C0076
ROM:008C0034 9A 6D 08 40                       jnb     word_FDDA.4, loc_8C0048
ROM:008C0038 4E 6D                             bclr    word_FDDA.4
ROM:008C003A 4E 6D                             bclr    word_FDDA.4
ROM:008C003C F2 F4 9E F8                       mov     r4, nmot_w
ROM:008C0040 F6 F4 DB F8                       mov     byte_F8DB, r4
ROM:008C0044 CC 00                             nop
ROM:008C0046 0D 1A                             jmpr    cc_UC, loc_8C007C
ROM:008C0048                   ; ---------------------------------------------------------------------------
ROM:008C0048
ROM:008C0048                   loc_8C0048:                             ; CODE XREF: sub_8BFEF8+13C↑j
ROM:008C0048 F2 F4 9E F8                       mov     r4, nmot_w
ROM:008C004C CC 00                             nop
ROM:008C004E CC 00                             nop
ROM:008C0050 F2 F9 DB F8                       mov     r9, byte_F8DB
ROM:008C0054 40 49                             cmp     r4, r9
ROM:008C0056 FD 12                             jmpr    cc_ULE, loc_8C007C
ROM:008C0058 CC 00                             nop
ROM:008C005A F2 F4 98 9E                       mov     r4, vfil_w
ROM:008C005E D7 00 81 00                       exts    #81h, #1
ROM:008C0062 F2 F9 D8 7E                       mov     r9, word_817ED8
ROM:008C0066 40 49                             cmp     r4, r9
ROM:008C0068 FD 09                             jmpr    cc_ULE, loc_8C007C
ROM:008C006A 6F 6D                             bset    word_FDDA.6
ROM:008C006C F7 8E B6 8C                       movb    tsrldyn, ZEROS
ROM:008C0070 CC 00                             nop
ROM:008C0072 CC 00                             nop
ROM:008C0074 0D 03                             jmpr    cc_UC, loc_8C007C
ROM:008C0076                   ; ---------------------------------------------------------------------------
ROM:008C0076
ROM:008C0076                   loc_8C0076:                             ; CODE XREF: sub_8BFEF8:loc_8C0030↑j
ROM:008C0076 CC 00                             nop
ROM:008C0078 6E 6D                             bclr    word_FDDA.6
ROM:008C007A 4F 6D                             bset    word_FDDA.4
ROM:008C007C
ROM:008C007C                   loc_8C007C:                             ; CODE XREF: sub_8BFEF8+14E↑j
ROM:008C007C                                                           ; sub_8BFEF8+15E↑j ...
ROM:008C007C FA 8B E4 FF                       jmps    8Bh, loc_8BFFE4

I get EPC light and car shuts down

FDDA and FDDB variables are free, as I am manage to see

maybe this is problem?

ROM:008C003C F2 F4 9E F8                       mov     r4, nmot_w
ROM:008C0040 F6 F4 DB F8                       mov     byte_F8DB, r4

Is this okay to do?
« Last Edit: September 14, 2023, 01:54:00 PM by BlackT » Logged
BlackT
Hero Member
*****

Karma: +79/-39
Offline Offline

Posts: 1422



« Reply #77 on: September 24, 2023, 03:25:31 AM »

Can someone explain me what is this whit this function with zwout?
Is this mean that zwout is stored in stack in four places?
Logged
fknbrkn
Hero Member
*****

Karma: +177/-18
Offline Offline

Posts: 1402


mk4 1.8T AUM


« Reply #78 on: September 24, 2023, 06:46:13 AM »

For each cylinder
Logged
BlackT
Hero Member
*****

Karma: +79/-39
Offline Offline

Posts: 1422



« Reply #79 on: November 23, 2023, 04:10:57 PM »

In this file KFZW load axis should be at 0x132E6
And table look like it, but when I change that load values nothing happen? It always follow same load. Let say 40% is original load in 3th column. If I multiply whole load table with 2, ECU still get that value from 3th column when load is 40%  Huh
Logged
Pages: 1 ... 4 5 [6]
  Print  
 
Jump to:  

Powered by SMF 1.1.21 | SMF © 2015, Simple Machines Page created in 0.053 seconds with 17 queries. (Pretty URLs adds 0s, 0q)